Vhdl Testbench В Xilinx Ise Webpack

28-01-2014, 16:25 От: admin Посмотрели: 676
Всем здрасьте. Только учусь работать с VHDL. Осваиваю Xilinx Ise WebPack (кажись он так называется). И при попытке сгенерировать эту отладочную доску на vhdl он мне генерирует её с ошибками:




--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:53:00 01/26/2014
-- Design Name:
-- Module Name: E:/XProject/Adder_test1/adder_testbench.vhd
-- Project Name: Adder_test1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: adder
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;

ENTITY adder_testbench IS
END adder_testbench;

ARCHITECTURE behavior OF adder_testbench IS

-- Component Declaration for the Unit Under Test (UUT)

COMPONENT adder
PORT(
A : IN std_logic;
B : IN std_logic;
C : OUT std_logic
);
END COMPONENT;


--Inputs
signal A : std_logic := '0';
signal B : std_logic := '0';

--Outputs
signal C : std_logic;
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name

constant <clock>_period : time := 10 ns;

BEGIN

-- Instantiate the Unit Under Test (UUT)
uut: adder PORT MAP (
A => A,
B => B,
C => C
);

-- Clock process definitions
<clock>_process :process
begin
<clock> <= '0';
wait for <clock>_period/2;
<clock> <= '1';
wait for <clock>_period/2;
end process;


-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;

wait for <clock>_period*10;

-- insert stimulus here

wait;
end process;

END;



Ругается на <clock>.



Кто знает что с этим делать?
Раздел: ПЛИС

Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться, либо войти на сайт под своим именем.

Обсудить на форуме


На момент добавления Vhdl Testbench В Xilinx Ise Webpack все ссылки были рабочие.
Все публикации статей, книг и журналов, представлены на этом сайте, исключительно для ознакомления,
авторские права на эти публикации принадлежат авторам статей, книг и издательствам журналов!
Подробно тут | Жалоба
Информация
Посетители, находящиеся в группе Гости, не могут оставлять комментарии к данной публикации.


Опрос

Ваши предпочтения в TRX


Одинарное преобразование
Двойное преобразование
Прямое преобразование
SDR
Другое
Мне всё равно

Популярные новости
Календарь новостей
«    Май 2024    »
ПнВтСрЧтПтСбВс
 12345
6789101112
13141516171819
20212223242526
2728293031