Проблемы Симуляции В Quartus Ii И Modelsim

1-06-2013, 11:16 От: admin Посмотрели: 886
Добрый день!



Недавно начал заниматься VHDL...

Написал небольшой модуль, провёл симуляцию в ModelSim (создавал другой VHDL файл, и из него запускал основной блок и подавал сигналы) - всё работало нормально.



Решил сделать симуляцию на реальном чипе в Quartus II + ModelSim. Чип - Cyclone II.

Всё скомпилировал, запустил ModelSim, добавил данные прямо в симуляции(Force..., Clock...) - тоже всё работает нормально, но при выставлении очень маленького шага (1ps) Clock, сигналы по прежнему получаются идеальные без выбросов и смещений, хотя в блоке есть вычисления и два процесса. Друг, который этим занимался раньше и работал на Max+ говорит, что выбросы должны быть и сигнал не идеально квадратный должен быть. Но, к сожалению, он не работал с Quartus.



В чём может быть причина? И может у кого-нибуть найдётся толковый проверенный туториал, хотя уже несколько попробовал...

Если нужна какая-нибуть доп. информация для прояснения ситуации, пишите - выложу.
Раздел: ПЛИС

Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться, либо войти на сайт под своим именем.

Обсудить на форуме


На момент добавления Проблемы Симуляции В Quartus Ii И Modelsim все ссылки были рабочие.
Все публикации статей, книг и журналов, представлены на этом сайте, исключительно для ознакомления,
авторские права на эти публикации принадлежат авторам статей, книг и издательствам журналов!
Подробно тут | Жалоба
Информация
Посетители, находящиеся в группе Гости, не могут оставлять комментарии к данной публикации.


Опрос

Ваши предпочтения в TRX


Одинарное преобразование
Двойное преобразование
Прямое преобразование
SDR
Другое
Мне всё равно

Популярные новости
Календарь новостей
«    Май 2024    »
ПнВтСрЧтПтСбВс
 12345
6789101112
13141516171819
20212223242526
2728293031