Есть код сдвигового регистра
entity DFF is
port (
RSTn, CLK, D : in bit;
Q : out bit);
end DFF;
architecture RTL of DFF is
begin
process (RSTn, CLK)
begin
if (RSTn = '0') then
Q <= '0';
elsif (CLK'event and CLK = '1') then
Q <= D;
end if;
end process;
end RTL;
entity SHIFT is
port (
RSTn, CLK, SI : in bit;
SO : out bit);
end SHIFT;
architecture RTL3 of SHIFT is
component DFF
port (
RSTn, CLK, D : in bit;
Q : out bit);
end component;
signal T : bit_vector(8 downto 0);
-- декларация сигнала T
begin
T(8) <= SI;
SO <= T(0);
g0 : for i in 7 downto 0 generate
allbit : DFF
port map (RSTn => RSTn, CLK => CLK, D => T(i+1), Q => T(i));
end generate;
end RTL3;
Необходимо сделать сдвиговый n разрядный регистр , сдвигать должен влево или вправо...Как я поняла нужно делать на D триггере, но не понимаю как одновременно сдвигать. Плюс нужно использовать generic и generate А использовать библиотеки std_logic а не bit.....Может таблицу истинности подскажите Раздел: ПЛИС
Продам импульсный блок питания для усилителя мощности с двухполярным питанием +-27в, мощность блока питания до 300Вт, отлично подойдёт для питания стерео усилителя на микросхемах тда7294(3) или лм3886.Ёмкости в питании с избытком хватает для полноценной работы усилителя без дополнительных конденсаторов,на входе 2*330мкф/200в , на выходе 6*1000мкф/35в.Ключи irf840. в блоке питания предусмотрено питание вентилятора 12в и возможность коррекции оборотов двигателя вентилятора.Блок питания имеет индикацию работы, светодиод можно вынести на переднюю панель усилителя..Размеры блока питания (без радиатора) 90*110*40ммцена блока питания 300 гривен .находиться на Украине в Сумской области.отправлю наложенным платежём по Украине, в другие страны отправлю только при предоплате 100%+стоимость почтовых услуг.возможен бартер на ДВУХ ЯДЕРНЫЙ процессор под AM2 + ddr2 2Gb(можно планками по 512 или 1024). предпочтениеAMD Athlon 64 X2 4800+5200+5400+5600+5800+6000+все предложения пишите в личные сообщения ЛС. Раздел: Продам-Отдам, Услуги
Хочу подключить эту матрицу к cubieboard, но не могу найти ее даташит. Матрица от электронной книги teXet TB-740HD. Раздел: Поиск схем
Продам металлоискатель КОЩЕЙ-5И собранный из набора мастер кит вм8042, в комплекте электронный блок, поисковая катушка NM8044 и аккумулятор 12В/1,3Ач. Цена- 4000р.+пересыл из Пензы. Причина продажи- нет времени заниматься им( Раздел: Продам-Отдам, Услуги
Всем доброго времени. Имеется вопрос, как можно согласовать уровень 12в и 5в. То есть имеется микроконтролер АВР с питанием 5в и к нему нужно подключить сигнал с уровнем 12в. Резистор и стабилитрон не катит потому как сигнал или верней импульсы не доходят к нулю или не переходит ноль не знаю как правильно. Изобразил на картинке, но не знаю одного, до скольки падает сигнал, написал на вскидку8в. Стабилитрон с резистором в этом случаи просто все в "полосочку", а как по другому подать не представляю. Может кто подкинет еще варианты??? Раздел: Песочница или Вопрос-Ответ
Есть железо, стержневое штампованое, при расчетах у меня получилось что на 2е катушки приходится 88витков(1 -44 витка, 2- 44 витка), ммм или может такое быт? на 220Вольт Раздел: Песочница или Вопрос-Ответ
Здравствуйте есть саб с двумя катушками по 2 ом,можно ли подключить тда8560 2*40вт ,один канал к одной катушке а второй к другой (естественно синхронизировав эти каналы! в итоге получится 80вт ? Раздел: Авто-аудио
Добрый день. Подскажите можна заменить оу в схеме LM301 на MAA501,MAA741? Раздел: Песочница или Вопрос-Ответ
Надо мне сварганить регулятор напряжения от 16-22 вольт 15 ампер, что бы регулировка могла выполнять отдельным источником питания от 0-10 вольт. и на этих же выводах резистором переменным. при подаче напряжения на вход подымалось на выходе, и вместо напряжения можно было подключать резистор подстрочный и с уменьшением сопротивления между выводами напряжение на выходе подымалось. Тык ните носов в нужную литературу, или схему на подобии, а там я сам уже буду отталкиваться от основы, я не знаю как правильно сварганить сам узел регулировки. Раздел: Песочница или Вопрос-Ответ
Как можно подключить ЖК дисплей через USB к мобильному устройству?
он должен выводить видео записи и картинки с экрана мобильного на ЖК экран.
и намного ли измениться схема подключения если подключить не ЖК экран, а дисплей с электронными чернилами? Раздел: Песочница или Вопрос-Ответ
Всем привет) У меня в наличии имеется газоразрядная лампа советского образца ИФК-120. И мне бы хотелось сделать из неё фотовспышку, работающую от Литиевого аккумулятора на 3,7 В Но если что можно задействовать аккумулятор помощнее, главное не громоздкий. Пришлось мне разобрать старый пленочный фотоаппарат для её проверки и убедился что лампа работоспособна. Хочу собрать схему, чем проще тем лучше конечно) Основные вопросы какие меня волнуют: 1) Как мне подобрать мощность конденсатора так чтобы лампа работала эффективно, но и не слишком сильно, чтобы её не разорвало) 2) Как мне сделать трансформаторы? Не знаю сколько витков нужно намотать на повышающий трансформатор, а про поджигающий транс я вообще не в курсе как его сделать. В моей вспышке из него всего 3 провода идет почему то. Хотя возможно я просто не совсем понимаю принцип их работы. 3) По какой схеме проще собрать генератор? 4) Возможно ли заставить срабатывать вспышку от фотоприемника по второму импульсу от другой вспышки? Планирую её использовать с мыльницей Lumix DMC-TZ10. Я делал замеры с помощью фотодиода и звуковой карты компьютера и выяснил что фотоаппарат делает два импульса с задержкой от 102 до 110 мс и соответсвенно вспышка должна срабатывать на второй. 5) Можно ли как то регулировать мощность вспышки (Не очень важно, но всё же желательно)? Если интересно зачем мне это нужно то я скажу что у меня есть для фотоаппарата водонепроницаемый бокс: http://www.sotmarket.ru/product/box-panasonic-lumix-dmc-tz10-dmw-mctz10e.htmlи я сделал несколько тестовых снимков в бассейне. На боксе есть специальный диффузор рассеиватель без которого снимок получается неравномерно освещенным из за того что левую сторону загораживает объектив. А при надетом диффузоре свет начинает рассеиваться на уровне объектива, но во первых падает эффективность вспышки, во вторых любые частицы в воде которые перед объективом они подсвечиваются и на снимке выглядят как небольшие размытые шары. Чувствительность приходилось доводить до 800 ISO и то при диафрагме f/3.3 я получал выдержку всего 1/30 секунды. Не годиться для динамичных ситуаций, поскольку предполагаю что фотографии будут смазанными. Но хорошо полуглив я выяснил что без внешней вспышки в моей ситуации далеко не уедешь) На фотоаппарате соответсвенно никаких синхроконтактов у меня нет, зато на боксе есть башмак для специальной подводной вспышки INON. Она как я понимаю содержит схему благодаря которой она срабатывает именно когда нужно и для синхронизации использует свет ведущей вспышки в мыльнице и оптический кабель. Но посмотрев что она стоит 30000 рублей я ужаснулся и понял что жаба душит стока тратить) Был бы очень благодарен если кто нибудь выложит схему вспышки и синхронизатора, поскольку со схемотехникой не очень дружу. Планирую схему вспышки сделать на одной плате а синхронизатор на другой. О гермитичности я постараюсь сам подумать, но если захотите что нибудь посоветовать то пишите буду не против) Раздел: Световые эффекты и LED
Доброго времени суток, объясните пожалуйста работу катодного повторителя в данной схеме, а именно за счет чего происходит смещение, как его организовать, Мною прочтен Л.Б Канимир до этого каскада все понятно. Заранее спасибо за помощь. Раздел: Школьникам и студентам
Куплю 15 шт. неисправных, горелых, и т.д. не дороже 2000 руб. Раздел: Куплю
Продам микросхемы. Доставка из Саратова по тарифам почты РФ (или ТК). Заказ от 500р. Запрос фото и т.д. в личку.
Наименование Кол-во Год
140УД1А 5 1990
140УД6А 3 1989
140УД6Б 3 1988
1533ИР23 5 1991
1533ИР31 10 1986-89
1533ИР33 4 1990-92
1533ИР37 6 1991
1533ИР38 10 1988
1533КП11 9 1986-91
1533КП2 10 1988
1533КП7 10 1989
1533ЛА7 10 1988
1533ЛИ1 10 1987
1533ЛН1 9 1985
1533ЛП3 10 1986
1533ЛР13 10 1988
1533ТМ9 10 1990
155ЛА2 1 1977
1564ЛА2 10 1990
1564ЛЕ1 11 1988-89
1564ЛЕ4 10 1986-88
1564ЛИ1 11 1988
1564ЛН1 5 1990
1564ЛР11 10 1990
1564ТМ7 10 1991
1ЛБ552 1 1971
544УД1А 1 1993
574УД2А 4 1986
590КН3 5 1981,1989
590КН4 3 1992
590КН5 никель 5 1997
590КН9 5 1991
597СА3А 5 1984, 1990
К1021ХА5 56 1990
К1114ЕУ1А (К59) 1 1990
К118УД1В 5 1990
К140УД25А никель 10 1995
К140УД26А никель 8 1995
К145ХК3П 1 1986
К157УП2А 3 1990
К161ПР2 1 1976
К174АФ5 1 1991
К174ГФ1 24 1989
К174КН2 60 1993
К174УН4Б 60 1988
К174ХА11 2 1988-1995
К176ИЕ12 1 1982
К176ИЕ3 1 1983
К176ИЕ4 5 1978-81
К176КТ1 15 1987
К176РУ2 2 1980-82
К1ЛБ551 1 1972
К1ЛБ556И 3 1974
К1ЛР554 2 1976
К1ТР721 1 1976
К224ТП1 1 1992
К224УП2 2 1982
К237УР5 1 1986
К286КТ2 (AU) 1 1989
К2ГС371 1 1976
К2ЖА242 1 1976
К538УН3А 10 1981-83
К544УД1Б 3 1991
К547КП1Б 3 1982
К548УН1А 1 1982
К555АП5 16 1989
К555КП2 44 1989
К572ПВ4 1 1990
К572ПВ6 (М174-7) 1 1991
К589АП16 23 1988
К589АП26 24 1988
КА1016ХЛ1 1 1986
КМ1008ВЖ1 1 1994
КМ551УД2А 1 1986
КМ573РФ10 никель 1 1996
КМ573РФ2 2 1987-88
КР1008ВЖ5А 6 1995
КР1008ВЖ7А 5 1993
КР1408УД1 6 87-90
КР142ЕН5В 2 1991
КР142ЕН8Д 50 1992
КР142ЕН9Б (LM7824) 43 1997
КР145ИК1901 1 1986
КР1533ИП7 16 1989
КР1533КП17 10 1988
КР1534ПП1 1 1986
КР541РУ2А 28 1990
КР544УД1А 7 1993
КР565РУ2А 1 1988
КС573РТ2 (AU) 1 1991
КС573РФ4А (AU) 1 1992
М573РФ4А ник. 1 2002
Т34РЕ1 020 1 1991
ЭКР1008ВЖ10 4 1997 Раздел: Продам-Отдам, Услуги
Продам разные разности - разъемы, переключатели, тумблеры, кварцы и прочее. Доставка почтой или ТК (Саратов).
Наименование Кол-во Год
3ПС22-4 (клемная колодка + прокладка) 4 1989
ЗМЗ (зажим приборный) 15 1991
ОНП-КГ-56-48-Р (AU) 1 1990
РП15-9Ш 1 1990
РПМ7-50Ш-ПБ-В "5" (серебро) 1 1991
РПН4-2Г (AU) 1 1974
СНО51-40-2-В 1 1986
СНО51-8-2-В 1 1990
СНО64-64Р-24-2-В (серебро) 11 2002
СНП59-96Р-20-2 1 1990
СР-50-164ФВ 48 1987
СР-50-74ПВ 2 1989
СР-50-74ФВ 1 1980
СР-75-280ФВ 1 1979
Наименование Кол-во Год
Кварц 26945 кГц РК169 8 1991
ВДМ1-8 (AU) 4 1988
ДВП4-2В 24 1991
ДПМ-0,2-25 5% (дроссель) 50 1991
ЗП-1 40 1991
ЗП-2 1 1991
ИЖКЦ1-4/14 1 1991
КАМ1к 1 1980
ЛЗА-511-4 16 1994
ММТ-12 15 Ом 6 1979
МП7 1 1970
МПВ-1-1-1 3 1983
МПК1С-6В 1 1987
МТД3 12 2004
П2К-з-1 50 1992
П2К-н-3-15 50 1987
П2К-н-7-10 50 1990
ПД-2 2П4Н 1 1968
ПД21-1 4 1987
ПКН-150-1 1 1990
ПЛК7 2 1990
ПЛК9-Ф 1 1992
ПТ73-2-3 2 1991
Р-4 "5" (разрядник) 5 1978
СН1-1-1200 В 2 1989
СН1-2-1-270 В 2 1983
ТИМ178В "5" 1 1990
ТМ5-27 1 1987
ТП1-2 1 1988
УЛЗ64-5 29 1992
УЛЗ64-8 1 1989
Наименование Кол-во Год
РР 1/1-4-8 1
РР 3/0-4 1
РЭВ18А РС4.569.800-03 "5" 2 1978
РЭС10 031-03 2 1982
РЭС10 031-0402 1 1986
РЭС10 РС4.524.302 1 1981
РЭС10 РС4.524.305 3 1978
РЭС22 РФ4.500.131 4 1981
РЭС22 РФ4.500.163 1 1978
РЭС47 РФ4.500.408 1 1976
РЭС55А 0001 "5" 2 1983
РЭС6 РФ0.452.112 "5" 3 1974
РЭС64А РС4.569.724 "5" 1 1992
РЭС8 РС4.590.050 2 1976
РЭС9 РС4.524.201 "5" 3 1981
РЭС9 РС4.524.213 "ОС" 6 1971-79 Раздел: Продам-Отдам, Услуги
Здравствуйте! хотел менять свою магнитолку, но с ростом цен охота отпала. Соответственно задался вопросом как же ее можно доработать для большего удобства и добавить выход AUX. Сам я не радиотехник, пошарил по форумах что народ пишет, нашел вот такой блог http://www.drive2.ru/l/1688764/, но после разборки своей понял что что-то не то... нету сходства с моей схемой. После этого нашел следующий блог http://www.drive2.ru/l/3145023/как оказалось модели магнитол одинаковые, но схемы отличаются по годам выпуска. Моя как во втором примере 2012 года. Внимание, вопрос: можно ли подобно первому примеру впаять выход AUX в мою схему, если да, то как?! Жду ответов от знатоков. Буду признателен за помощь! Раздел: Автомагнитолы
используя 5 корпусов К155КП2 синтезировать мультиплексор 32-1 без стробирования. Подскажите пожалуйста, как его сделать без стробирования? Раздел: Школьникам и студентам
Добрый день, продам колонку радиотехника s90, мне ее отдали, внешнее состояние на 4, работают динамики 75гдн и средне частотник, высоко частотник не работает почему то, не разобрался как там что устроено. Цена 1000р, пересылка в любой регион России транспортной компанией деловые линии на условиях полной предоплаты, бываю редко на форуме, лучше писать на почту [email protected] Раздел: Продам-Отдам, Услуги
Всем доброго времени! У меня такая проблема, собрал по схеме девайс, но запустить его не смог. Решил выяснить в чем может быть проблема. Элементы: КТ805БМ, резистор 75 Ом, БП 6V. 1A Вроде бы начинает работать, транзистор греется, даже катушка как оказалось теплая становится, но что то не так... Нет питания на второй, принимающей катушки Как я понимаю, даже без многих элементов (на приемнике), сама катушка должна принимать какой то ток. пробовал свето-диод, мультиметр подключал к ней, даже 0,1В не выдает. Вот оригинальная схема передатчикаСхема приемникаОчень надеюсь на вашу помощь так как сам нифига толком в этом не понимаю, два дня потратил а толку нет.. в описании схемы http://totmaster.ru/posters.php?id=106есть фото которое вообще меня завело в тупик, так как оно демонстрирует совсем другую последовательность подключения, сам не пробовал, боюсь спалить транзюк, мне их проблемно достать Что скажете? Раздел: Схемотехника для начинающих
Доброго времени суток. Раздобыл я такого монстра. Прибор оказался полуживой. Не было вертикальной развертки (точнее была но на пол экрана). Предыдущий хозяин повесил повесил соплю на плату. Пока колупался пропала горизонтальная. при ремонте горизонтальной буду разбирать прибор в пух. Собственно вопросы: Нужно ли менять красные керамические конденсаторы (флажки)? я слышал много о них не самых лучших мнений. Нужно ли менять электролиты ? Еср нет. Точнее есть но не настроен. Прибор 82 года. Раздел: Измерительная техника
Ещё один автопроизводитель вынужден объявить об отзыве автомобилей: на этот раз проблемы возникли у немецкого концерна BMW. Сообщается, что дефект выявлен в болтовом креплении блока системы Vanos, которая регулирует параметры вращения распределительного вала, оптимизируя выработку мощности на всём диапазоне оборотов. Это позволяет улучшить динамические характеристики, повысить топливную экономичность и уменьшить объём токсичных выхлопов на любых скоростях.
Компания HTC объявила о начале продаж на российском рынке смартфона Desire 700 Dual Sim под управлением операционной системы Android с фирменным пользовательским интерфейсом Sense 5. Аппарат построен на четырёхъядерном процессоре с рабочей частотой 1,2 ГГц. Сенсорный 5-дюймовый дисплей Super LCD 2 обладает разрешением qHD (540х960 точек). В оснащение входят 1 Гбайт оперативной памяти, флеш-накопитель ёмкостью 8 Гбайт, слот для карт памяти microSD, адаптеры беспроводных сетей Wi-Fi и Bluetooth, стандартный набор датчиков.
Недавно в семействе Navitas компания Chieftec представила новое поколение модульных блоков питания класса 80PLUS Gold. Сегодня мы знакомим читателей с конструкцией и возможностями типичного представителя серии, 850-Вт моделью GPM-850C
[next-page][/next-page]
|