Реализация На Плис 16-Разрядного Счетчика

20-08-2014, 15:26 От: admin Посмотрели: 455
Всем привет!  Помогите пожалуйста, нужно сделать проект 16-разрядного счетчика на ПЛИС именно в схемотехническом (а не текстовом)

исполнении. На выходе счетчика должны быть определенные кодовые последовательности (при достижении им заданных чисел, соответствующий двоичный код отправляется на выход). Таких значений 16 штук. Кроме того, должна быть возможность загрузки начального значения счета.

За любую помощь буду крайне признателен!
Раздел: ПЛИС

Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться, либо войти на сайт под своим именем.

Обсудить на форуме


На момент добавления Реализация На Плис 16-Разрядного Счетчика все ссылки были рабочие.
Все публикации статей, книг и журналов, представлены на этом сайте, исключительно для ознакомления,
авторские права на эти публикации принадлежат авторам статей, книг и издательствам журналов!
Подробно тут | Жалоба
Информация
Посетители, находящиеся в группе Гости, не могут оставлять комментарии к данной публикации.


Опрос

Ваши предпочтения в TRX


Одинарное преобразование
Двойное преобразование
Прямое преобразование
SDR
Другое
Мне всё равно

Популярные новости
Календарь новостей
«    Май 2024    »
ПнВтСрЧтПтСбВс
 12345
6789101112
13141516171819
20212223242526
2728293031