Altera Epm3064 Не Работает Горячая Замена

14-06-2013, 14:17 От: admin Посмотрели: 606
Здравствуйте,возникли проблемы с микросхемой EPM3064ATI44-10.У этой микросхемы есть функция горячей замены. В момент включения модуля в крейт, происходят какие-то непонятные глюки, и шина может подвеситься, в результате чего включаются те ножки, которые должны быть выключены, в общем, происходит некое, крайне нежелательное управление шиной. Я пробовал подавать напряжение VCCINT раньше чем напряжение VCCIOна микросхему(одно из решений описанное в датащите Alter'ы), но результат все тот же. Прошу помочь разобраться в проблеме.



Осцилограммы включения питания(одна картинка с включением питания по очереди,вторая картинка тоже самое,только с завалом VCCINT), электрическую схему модуляи прошивку(на Verilog) добавил к сообщению.

Прикрепленные файлы:




Раздел: ПЛИС

Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться, либо войти на сайт под своим именем.

Обсудить на форуме


На момент добавления Altera Epm3064 Не Работает Горячая Замена все ссылки были рабочие.
Все публикации статей, книг и журналов, представлены на этом сайте, исключительно для ознакомления,
авторские права на эти публикации принадлежат авторам статей, книг и издательствам журналов!
Подробно тут | Жалоба
Информация
Посетители, находящиеся в группе Гости, не могут оставлять комментарии к данной публикации.


Опрос

Ваши предпочтения в TRX


Одинарное преобразование
Двойное преобразование
Прямое преобразование
SDR
Другое
Мне всё равно

Популярные новости
Календарь новостей
«    Май 2024    »
ПнВтСрЧтПтСбВс
 12345
6789101112
13141516171819
20212223242526
2728293031